ISE13.2:VHDL包中定义的全局信号导致XST错误-Xilinx-AMD论坛-FPGA CPLD-ChipDebug