always @(posedge clk or posedge clr);这个clk和clr是不是必须同步的上升沿信号?-FPGA常见问题论坛-FPGA CPLD-ChipDebug

always @(posedge clk or posedge clr);这个clk和clr是不是必须同步的上升沿信号?

always @(posedge clk or posedge clr);这个clk和clr是不是必须同步的上升沿信号?

为啥or呢?为了保证安全?

为啥不always @(posedge clk);或者干脆always @(posedge clr);

我是看了一个例子

有些不明白

一般情况下是一个posedge/negedge clk or 一个negedge rst信号是哇

请登录后发表评论

    • chipdebug的头像-ChipDebugchipdebug徽章-创作大使-ChipDebug等级-LV3-ChipDebug超级版主0
    • ii1397的头像-ChipDebugii1397徽章-人气大使-ChipDebug等级-LV2-ChipDebug作者0