MIG 7系列和Virtex-6 DDR2 / DDR3  –  MIG选项-Altera-Intel论坛-FPGA CPLD-ChipDebug

MIG 7系列和Virtex-6 DDR2 / DDR3 – MIG选项

问题描述

MIG设计助手的这一部分重点介绍7系列和Virtex-6 DDR3 / DDR2设计的可用MIG选项。 MIG工具旨在引导用户生成MIG内核,而无需参考其他文档。如果在一个特定的MIG选项确实存在问题,请参考DDR2和DDR3 SDRAM内存接口解决/修复方法>入门的CORE Generator工具>内创建的Virtex-6 FPGA DDR3存储器控制器模块设计部分了Virtex-6 FPGA存储器接口用户指南或DDR2和DDR3 SDRAM存储器接口解决/修复方法> CORE Generator工具入门>创建7系列FPGA内存控制器模块设计部分7系列FPGA内存接口解析/修复方法用户指南注意:本答复记录是Xilinx MIG解决/修复方法中心(Xilinx答复34243)的一部分 。 Xilinx MIG解决/修复方法中心可用于解决与MIG相关的所有问题。无论您是使用MIG启动新设计还是对问题进行故障排除,都可以使用MIG解决/修复方法中心来指导您获取正确的信息。

解决/修复方法

有关MIG 7系列和Virtex-6 DDR3 / DDR2设计支持的特定功能的信息,请参阅:

(Xilinx答复34316) – MIG Virtex-6 DDR2 / DDR3 – 支持的功能(Xilinx答复51676) – MIG 7系列DDR2 / DDR3 – 支持的功能

客户经常对核心的引脚和Bank业务要求有进一步的疑问。您可以在MIG工具的“库选择”选项卡的“问题描述”选项卡上找到有关这些要求的信息。有关更多信息,请参阅:

(Xilinx答复34308) – MIG Virtex-6 DDR2 / DDR3 – 验证引脚/Bank业务要求。 (Xilinx答复51317) – MIG 7系列DDR2 / DDR3 – 验证引脚/Bank业务要求。

请登录后发表评论

    没有回复内容