ISE14.7进行后仿真的时候总是报ERROR:Simulator:702 – Can not find design unit work.glbl in library work located at isim/work-Xilinx-AMD论坛-FPGA CPLD-ChipDebug
请登录后发表评论

    没有回复内容