xilinx FPGA 如何设定寄存器的初始值?-Xilinx-AMD论坛-FPGA CPLD-ChipDebug

xilinx FPGA 如何设定寄存器的初始值?

对于寄存器,如果没有明确指定其初始值,Vivado会根据其类型(FDCE/FDRE/FDPE/FDRE)设定合适的初始值。有些工程师喜欢使用复位信号,对所有的寄存器进行上电复位,使其在处理数据之前达到期望初始状态。但这会有一个不利之处就是复位信号的扇出很大,从而消耗了大量的布线资源,甚至造成布线拥塞。那么能不能让寄存器在上电之后不用通过复位就输出期望值呢?

请登录后发表评论