verilog2005的操作之对数函数-FPGA常见问题论坛-FPGA CPLD-ChipDebug

verilog2005的操作之对数函数

小技巧分享:

verilog下取对数其实可用$clog2这个系统函数,和自己找代码里面写入function是同样的效果,但是方便的多。这是verilog 2005就开始支持的标准,所以vivado也是支持的,我试了2017.4及以后的版本都可以支持,放心用。

友情提示:所有支持verilog2005标准的编译器都可以用这个函数,所以使用之前确定编译器是否支持这个标准,还有考虑好后期可移植性的影响

1.png

上图就是verilog2005的国际标准原文,这个是可编译的函数大家可以把她当做宏定义函数一样看待。这操作好玩吗?好玩就赶紧升级编译器吧,新标准总是有很多的改进,如果想了解一些更有意思的东西欢迎关注我,我是最骚的FPGAer。

这里附上常用的自定义log2函数,供大家。图片不清晰尽请担待。

2.png

请登录后发表评论

    没有回复内容