Intel Altera FPGA/CPLD设计:Altera FPGA CPLD设计  高级篇 光盘-Altera-Intel论坛-FPGA CPLD-ChipDebug

Intel Altera FPGA/CPLD设计:Altera FPGA CPLD设计 高级篇 光盘

├─example-s1-1
│ │ 示例说明.doc
│ │
│ └─FHT_example
│ │ FHTPART_resource.bmp
│ │ FHTPART_resource.gif
│ │ Thumbs.db
│ │ wchfht_resource.bmp
│ │ wchfht_resource.gif
│ │ workspace_VS.prd
│ │ workspace_VS.prj
│ │
│ ├─after_optimized
│ │ │ after_optimized.prd
│ │ │ after_optimized.prj
│ │ │ wch_fht.v
│ │ │
│ │ └─rev_2
│ │ │ wch_fht.fse
│ │ │ wch_fht.srd
│ │ │ wch_fht.srm
│ │ │ wch_fht.srr
│ │ │ wch_fht.srs
│ │ │ wch_fht.sxr
│ │ │ wch_fht.tcl
│ │ │ wch_fht.tlg
│ │ │ wch_fht.vqm
│ │ │ wch_fht.xrf
│ │ │ wch_fht_cons.tcl
│ │ │ wch_fht_rm.tcl
│ │ │
│ │ └─syntmp
│ │ wch_fht.plg
│ │
│ ├─before_optimized
│ │ │ before_optimized.prd
│ │ │ before_optimized.prj
│ │ │ fhtpart.v
│ │ │ fht_unit1.v
│ │ │ fht_unit2.v
│ │ │ fht_unit3.v
│ │ │ fht_unit4.v
│ │ │
│ │ └─rev_1
│ │ │ AutoConstraint_fhtpart.sdc
│ │ │ fhtpart.fse
│ │ │ fhtpart.srd
│ │ │ fhtpart.srm
│ │ │ fhtpart.srr
│ │ │ fhtpart.srs
│ │ │ fhtpart.sxr
│ │ │ fhtpart.tcl
│ │ │ fhtpart.tlg
│ │ │ fhtpart.vqm
│ │ │ fhtpart.xrf
│ │ │ fhtpart_cons.tcl
│ │ │ fhtpart_rm.tcl
│ │ │
│ │ └─syntmp
│ │ fhtpart.plg
│ │
│ └─soure
│ ├─after_optimized
│ │ wch_fht.v
│ │
│ └─before_optimized
│ fhtpart.v
│ fht_unit1.v
│ fht_unit2.v
│ fht_unit3.v
│ fht_unit4.v

├─Example-s2-1
│ │ 示例说明.doc
│ │
│ ├─Core
│ │ EPLL.bsf
│ │ EPLL.v
│ │ EPLL_bb.v
│ │ EPLL_inst.v
│ │ MY_DQ.bsf
│ │ MY_DQ.v
│ │ MY_DQS.bsf
│ │ MY_DQS.v
│ │ MY_DQS_bb.v
│ │ MY_DQS_inst.v
│ │ MY_DQ_bb.v
│ │ MY_DQ_inst.v
│ │
│ └─Project
│ │ DataPath.asm.rpt
│ │ DataPath.bdf
│ │ DataPath.done
│ │ DataPath.fit.rpt
│ │ DataPath.fit.smsg
│ │ DataPath.fit.summary
│ │ DataPath.flow.rpt
│ │ DataPath.map.rpt
│ │ DataPath.map.summary
│ │ DataPath.pin
│ │ DataPath.pof
│ │ DataPath.qpf
│ │ DataPath.qsf
│ │ DataPath.qws
│ │ DataPath.sof
│ │ DataPath.tan.rpt
│ │ DataPath.tan.summary
│ │ DataPath_assignment_defaults.qdf
│ │
│ ├─db
│ │ DataPath.(0).cnf.cdb
│ │ DataPath.(0).cnf.hdb
│ │ DataPath.(1).cnf.cdb
│ │ DataPath.(1).cnf.hdb
│ │ DataPath.(2).cnf.cdb
│ │ DataPath.(2).cnf.hdb
│ │ DataPath.(3).cnf.cdb
│ │ DataPath.(3).cnf.hdb
│ │ DataPath.(4).cnf.cdb
│ │ DataPath.(4).cnf.hdb
│ │ DataPath.(5).cnf.cdb
│ │ DataPath.(5).cnf.hdb
│ │ DataPath.(6).cnf.cdb
│ │ DataPath.(6).cnf.hdb
│ │ DataPath.asm.qmsg
│ │ DataPath.cbx.xml
│ │ DataPath.cmp.cdb
│ │ DataPath.cmp.hdb
│ │ DataPath.cmp.kpt
│ │ DataPath.cmp.logdb
│ │ DataPath.cmp.rdb
│ │ DataPath.cmp.tdb
│ │ DataPath.cmp0.ddb
│ │ DataPath.db_info
│ │ DataPath.eco.cdb
│ │ DataPath.fit.qmsg
│ │ DataPath.hier_info
│ │ DataPath.hif
│ │ DataPath.lpc.html
│ │ DataPath.lpc.rdb
│ │ DataPath.lpc.txt
│ │ DataPath.map.cdb
│ │ DataPath.map.hdb
│ │ DataPath.map.logdb
│ │ DataPath.map.qmsg
│ │ DataPath.pre_map.cdb
│ │ DataPath.pre_map.hdb
│ │ DataPath.rtlv.hdb
│ │ DataPath.rtlv_sg.cdb
│ │ DataPath.rtlv_sg_swap.cdb
│ │ DataPath.sgdiff.cdb
│ │ DataPath.sgdiff.hdb
│ │ DataPath.sld_design_entry.sci
│ │ DataPath.sld_design_entry_dsc.sci
│ │ DataPath.syn_hier_info
│ │ DataPath.tan.qmsg
│ │ DataPath.tis_db_list.ddb
│ │ DataPath.tmw_info
│ │ prev_cmp_DataPath.map.qmsg
│ │ prev_cmp_DataPath.qmsg
│ │
│ └─incremental_db
│ │ README
│ │
│ └─compiled_partitions
│ DataPath.root_partition.map.kpt

├─Example-s2-2
│ │ 示例说明.doc
│ │
│ ├─Project
│ │ │ Diff_io_top.asm.rpt
│ │ │ Diff_io_top.done
│ │ │ Diff_io_top.fit.rpt
│ │ │ Diff_io_top.fit.smsg
│ │ │ Diff_io_top.fit.summary
│ │ │ Diff_io_top.flow.rpt
│ │ │ Diff_io_top.map.rpt
│ │ │ Diff_io_top.map.summary
│ │ │ Diff_io_top.pin
│ │ │ Diff_io_top.pof
│ │ │ Diff_io_top.qpf
│ │ │ Diff_io_top.qsf
│ │ │ Diff_io_top.qws
│ │ │ Diff_io_top.sof
│ │ │ Diff_io_top.tan.rpt
│ │ │ Diff_io_top.tan.summary
│ │ │ Diff_io_top.v
│ │ │ Diff_io_top_1.pof
│ │ │ Diff_io_top_2.pof
│ │ │ Diff_io_top_assignment_defaults.qdf
│ │ │ mult.bsf
│ │ │ mult.cmp
│ │ │ mult.inc
│ │ │ mult.v
│ │ │ mult_bb.v
│ │ │ mult_inst.v
│ │ │
│ │ ├─db
│ │ │ Diff_io_top.(0).cnf.cdb
│ │ │ Diff_io_top.(0).cnf.hdb
│ │ │ Diff_io_top.(1).cnf.cdb
│ │ │ Diff_io_top.(1).cnf.hdb
│ │ │ Diff_io_top.(2).cnf.cdb
│ │ │ Diff_io_top.(2).cnf.hdb
│ │ │ Diff_io_top.(3).cnf.cdb
│ │ │ Diff_io_top.(3).cnf.hdb
│ │ │ Diff_io_top.(4).cnf.cdb
│ │ │ Diff_io_top.(4).cnf.hdb
│ │ │ Diff_io_top.(5).cnf.cdb
│ │ │ Diff_io_top.(5).cnf.hdb
│ │ │ Diff_io_top.(6).cnf.cdb
│ │ │ Diff_io_top.(6).cnf.hdb
│ │ │ Diff_io_top.(7).cnf.cdb
│ │ │ Diff_io_top.(7).cnf.hdb
│ │ │ Diff_io_top.(8).cnf.cdb
│ │ │ Diff_io_top.(8).cnf.hdb
│ │ │ Diff_io_top.(9).cnf.cdb
│ │ │ Diff_io_top.(9).cnf.hdb
│ │ │ Diff_io_top.asm.qmsg
│ │ │ Diff_io_top.cbx.xml
│ │ │ Diff_io_top.cmp.cdb
│ │ │ Diff_io_top.cmp.hdb
│ │ │ Diff_io_top.cmp.kpt
│ │ │ Diff_io_top.cmp.logdb
│ │ │ Diff_io_top.cmp.rdb
│ │ │ Diff_io_top.cmp.tdb
│ │ │ Diff_io_top.cmp0.ddb
│ │ │ Diff_io_top.db_info
│ │ │ Diff_io_top.eco.cdb
│ │ │ Diff_io_top.fit.qmsg
│ │ │ Diff_io_top.hier_info
│ │ │ Diff_io_top.hif
│ │ │ Diff_io_top.lpc.html
│ │ │ Diff_io_top.lpc.rdb
│ │ │ Diff_io_top.lpc.txt
│ │ │ Diff_io_top.map.cdb
│ │ │ Diff_io_top.map.hdb
│ │ │ Diff_io_top.map.logdb
│ │ │ Diff_io_top.map.qmsg
│ │ │ Diff_io_top.pre_map.cdb
│ │ │ Diff_io_top.pre_map.hdb
│ │ │ Diff_io_top.rtlv.hdb
│ │ │ Diff_io_top.rtlv_sg.cdb
│ │ │ Diff_io_top.rtlv_sg_swap.cdb
│ │ │ Diff_io_top.sgdiff.cdb
│ │ │ Diff_io_top.sgdiff.hdb
│ │ │ Diff_io_top.sld_design_entry.sci
│ │ │ Diff_io_top.sld_design_entry_dsc.sci
│ │ │ Diff_io_top.syn_hier_info
│ │ │ Diff_io_top.tan.qmsg
│ │ │ Diff_io_top.tis_db_list.ddb
│ │ │ Diff_io_top.tmw_info
│ │ │ lvds_rx_qeh1.tdf
│ │ │ lvds_tx_go81.tdf
│ │ │ mult_add_8pq1.tdf
│ │ │ prev_cmp_Diff_io_top.map.qmsg
│ │ │ prev_cmp_Diff_io_top.qmsg
│ │ │
│ │ └─incremental_db
│ │ │ README
│ │ │
│ │ └─compiled_partitions
│ │ Diff_io_top.root_partition.map.kpt
│ │
│ └─Solution
│ │ cmp_state.ini
│ │ Diff_io_top.asm.rpt
│ │ Diff_io_top.done
│ │ Diff_io_top.fit.eqn
│ │ Diff_io_top.fit.rpt
│ │ Diff_io_top.fit.summary
│ │ Diff_io_top.flow.rpt
│ │ Diff_io_top.map.eqn
│ │ Diff_io_top.map.rpt
│ │ Diff_io_top.map.summary
│ │ Diff_io_top.pin
│ │ Diff_io_top.pof
│ │ Diff_io_top.qpf
│ │ Diff_io_top.qsf
│ │ Diff_io_top.qws
│ │ Diff_io_top.sof
│ │ Diff_io_top.tan.rpt
│ │ Diff_io_top.tan.summary
│ │ Diff_io_top.v
│ │ Diff_io_top.vwf
│ │ Diff_io_top_1.pof
│ │ Diff_io_top_2.pof
│ │ Diff_io_top_assignment_defaults.qdf
│ │ lvds_rx.bsf
│ │ lvds_rx.v
│ │ lvds_rx_bb.v
│ │ lvds_rx_inst.v
│ │ lvds_tx.bsf
│ │ lvds_tx.v
│ │ lvds_tx_bb.v
│ │ lvds_tx_inst.v
│ │ mult.bsf
│ │ mult.cmp
│ │ mult.inc
│ │ mult.v
│ │ mult_bb.v
│ │ mult_inst.v
│ │
│ └─db
│ Diff_io_top.(0).cnf.cdb
│ Diff_io_top.(0).cnf.hdb
│ Diff_io_top.(1).cnf.cdb
│ Diff_io_top.(1).cnf.hdb
│ Diff_io_top.(2).cnf.cdb
│ Diff_io_top.(2).cnf.hdb
│ Diff_io_top.(3).cnf.cdb
│ Diff_io_top.(3).cnf.hdb
│ Diff_io_top.(4).cnf.cdb
│ Diff_io_top.(4).cnf.hdb
│ Diff_io_top.(5).cnf.cdb
│ Diff_io_top.(5).cnf.hdb
│ Diff_io_top.(6).cnf.cdb
│ Diff_io_top.(6).cnf.hdb
│ Diff_io_top.(7).cnf.cdb
│ Diff_io_top.(7).cnf.hdb
│ Diff_io_top.asm.qmsg
│ Diff_io_top.cmp.cdb
│ Diff_io_top.cmp.ddb
│ Diff_io_top.cmp.hdb
│ Diff_io_top.cmp.rdb
│ Diff_io_top.cmp.tdb
│ Diff_io_top.dat_manager.dat
│ Diff_io_top.db_info
│ Diff_io_top.fit.qmsg
│ Diff_io_top.fld
│ Diff_io_top.hier_info
│ Diff_io_top.hif
│ Diff_io_top.icc
│ Diff_io_top.map.cdb
│ Diff_io_top.map.hdb
│ Diff_io_top.map.qmsg
│ Diff_io_top.pre_map.hdb
│ Diff_io_top.project.hdb
│ Diff_io_top.rtlv.hdb
│ Diff_io_top.rtlv_sg.cdb
│ Diff_io_top.rtlv_sg_swap.cdb
│ Diff_io_top.sgdiff.cdb
│ Diff_io_top.sgdiff.hdb
│ Diff_io_top.signalprobe.cdb
│ Diff_io_top.sld_design_entry.sci
│ Diff_io_top.sld_design_entry_dsc.sci
│ Diff_io_top.syn_hier_info
│ Diff_io_top.tan.qmsg
│ Diff_io_top_cmp.qrpt
│ mult_add_v4n1.tdf

├─example-s3-1
│ │ 示例说明.doc
│ │
│ └─LogicLock
│ │ cmp_state.ini
│ │ fir_top.bsf
│ │ fir_top_coef_0.mif
│ │ fir_top_coef_1.mif
│ │ fir_top_coef_2.mif
│ │ lockit.asm.rpt
│ │ lockit.bdf
│ │ lockit.done
│ │ lockit.fit.eqn
│ │ lockit.fit.rpt
│ │ lockit.fit.smsg
│ │ lockit.fit.summary
│ │ lockit.fld
│ │ lockit.flow.rpt
│ │ lockit.map.eqn
│ │ lockit.map.rpt
│ │ lockit.map.summary
│ │ lockit.pin
│ │ lockit.pof
│ │ lockit.qpf
│ │ lockit.qsf
│ │ lockit.qws
│ │ lockit.sof
│ │ lockit.tan.rpt
│ │ lockit.tan.summary
│ │ lockit_assignment_defaults.qdf
│ │
│ ├─data_buffer
│ │ │ cmp_state.ini
│ │ │ data_buffer.asm.rpt
│ │ │ data_buffer.bsf
│ │ │ data_buffer.done
│ │ │ data_buffer.fit.eqn
│ │ │ data_buffer.fit.rpt
│ │ │ data_buffer.fld
│ │ │ data_buffer.flow.rpt
│ │ │ data_buffer.inc
│ │ │ data_buffer.map.eqn
│ │ │ data_buffer.map.rpt
│ │ │ data_buffer.pin
│ │ │ data_buffer.pof
│ │ │ data_buffer.qpf
│ │ │ data_buffer.qsf
│ │ │ data_buffer.qws
│ │ │ data_buffer.sof
│ │ │ data_buffer.tan.rpt
│ │ │ data_buffer.tan.summary
│ │ │ data_buffer.v
│ │ │ data_buffer_bb.v
│ │ │ data_buffer_inst.v
│ │ │
│ │ ├─atom_netlists
│ │ │ data_buffer.qsf
│ │ │ data_buffer.vqm
│ │ │
│ │ └─db
│ │ altsyncram_9kb1.tdf
│ │ a_dpfifo_ihi.tdf
│ │ a_fefifo_n4f.tdf
│ │ data_buffer(0).cnf.cdb
│ │ data_buffer(0).cnf.hdb
│ │ data_buffer(1).cnf.cdb
│ │ data_buffer(1).cnf.hdb
│ │ data_buffer(10).cnf.cdb
│ │ data_buffer(10).cnf.hdb
│ │ data_buffer(11).cnf.cdb
│ │ data_buffer(11).cnf.hdb
│ │ data_buffer(12).cnf.cdb
│ │ data_buffer(12).cnf.hdb
│ │ data_buffer(2).cnf.cdb
│ │ data_buffer(2).cnf.hdb
│ │ data_buffer(3).cnf.cdb
│ │ data_buffer(3).cnf.hdb
│ │ data_buffer(4).cnf.cdb
│ │ data_buffer(4).cnf.hdb
│ │ data_buffer(5).cnf.cdb
│ │ data_buffer(5).cnf.hdb
│ │ data_buffer(6).cnf.cdb
│ │ data_buffer(6).cnf.hdb
│ │ data_buffer(7).cnf.cdb
│ │ data_buffer(7).cnf.hdb
│ │ data_buffer(8).cnf.cdb
│ │ data_buffer(8).cnf.hdb
│ │ data_buffer(9).cnf.cdb
│ │ data_buffer(9).cnf.hdb
│ │ data_buffer.asm.qmsg
│ │ data_buffer.cdb.qmsg
│ │ data_buffer.cmp.cdb
│ │ data_buffer.cmp.ddb
│ │ data_buffer.cmp.hdb
│ │ data_buffer.cmp.rdb
│ │ data_buffer.cmp.tdb
│ │ data_buffer.csf.qmsg
│ │ data_buffer.data_buffer.sld_design_entry.sci
│ │ data_buffer.db_info
│ │ data_buffer.fit.qmsg
│ │ data_buffer.hif
│ │ data_buffer.icc
│ │ data_buffer.map.cdb
│ │ data_buffer.map.hdb
│ │ data_buffer.map.qmsg
│ │ data_buffer.pre_map.hdb
│ │ data_buffer.project.hdb
│ │ data_buffer.rtlv.hdb
│ │ data_buffer.rtlv_sg.cdb
│ │ data_buffer.rtlv_sg_swap.cdb
│ │ data_buffer.sgdiff.cdb
│ │ data_buffer.sgdiff.hdb
│ │ data_buffer.signalprobe.cdb
│ │ data_buffer.tan.qmsg
│ │ data_buffer_cmp.qrpt
│ │ data_buffer_hier_info
│ │ data_buffer_syn_hier_info
│ │ decode_4r6.tdf
│ │ dpram_btj.tdf
│ │ mux_kl7.tdf
│ │ scfifo_39m.tdf
│ │
│ ├─db
│ │ lockit(0).cnf.cdb
│ │ lockit(0).cnf.hdb
│ │ lockit(1).cnf.cdb
│ │ lockit(1).cnf.hdb
│ │ lockit(2).cnf.cdb
│ │ lockit(2).cnf.hdb
│ │ lockit.(0).cnf.cdb
│ │ lockit.(0).cnf.hdb
│ │ lockit.(1).cnf.cdb
│ │ lockit.(1).cnf.hdb
│ │ lockit.(2).cnf.cdb
│ │ lockit.(2).cnf.hdb
│ │ lockit.asm.qmsg
│ │ lockit.cbx.xml
│ │ lockit.cmp.cdb
│ │ lockit.cmp.hdb
│ │ lockit.cmp.kpt
│ │ lockit.cmp.logdb
│ │ lockit.cmp.rdb
│ │ lockit.cmp.tdb
│ │ lockit.cmp0.ddb
│ │ lockit.db_info
│ │ lockit.eco.cdb
│ │ lockit.fit.qmsg
│ │ lockit.hier_info
│ │ lockit.hif
│ │ lockit.lpc.html
│ │ lockit.lpc.rdb
│ │ lockit.lpc.txt
│ │ lockit.map.cdb
│ │ lockit.map.hdb
│ │ lockit.map.logdb
│ │ lockit.map.qmsg
│ │ lockit.pre_map.cdb
│ │ lockit.pre_map.hdb
│ │ lockit.rtlv.hdb
│ │ lockit.rtlv_sg.cdb
│ │ lockit.rtlv_sg_swap.cdb
│ │ lockit.sgdiff.cdb
│ │ lockit.sgdiff.hdb
│ │ lockit.sld_design_entry.sci
│ │ lockit.sld_design_entry_dsc.sci
│ │ lockit.syn_hier_info
│ │ lockit.tan.qmsg
│ │ lockit.tis_db_list.ddb
│ │ lockit.tmw_info
│ │ lockit_cmp.qrpt
│ │ lockit_hier_info
│ │ lockit_syn_hier_info
│ │
│ ├─fir
│ │ │ coef_log.txt
│ │ │ coef_param.txt
│ │ │ fir_top.asm.rpt
│ │ │ fir_top.bsf
│ │ │ fir_top.cmp
│ │ │ fir_top.done
│ │ │ fir_top.fit.eqn
│ │ │ fir_top.fit.rpt
│ │ │ fir_top.fld
│ │ │ fir_top.flow.rpt
│ │ │ fir_top.inc
│ │ │ fir_top.map.eqn
│ │ │ fir_top.map.rpt
│ │ │ fir_top.pin
│ │ │ fir_top.pof
│ │ │ fir_top.qpf
│ │ │ fir_top.qsf
│ │ │ fir_top.sof
│ │ │ fir_top.tan.rpt
│ │ │ fir_top.tan.summary
│ │ │ fir_top.vec
│ │ │ fir_top.vhd
│ │ │ fir_top_coef.mif
│ │ │ fir_top_coef_0.hex
│ │ │ fir_top_coef_0.mif
│ │ │ fir_top_coef_0.v
│ │ │ fir_top_coef_1.hex
│ │ │ fir_top_coef_1.mif
│ │ │ fir_top_coef_1.v
│ │ │ fir_top_coef_2.hex
│ │ │ fir_top_coef_2.mif
│ │ │ fir_top_coef_2.v
│ │ │ fir_top_coef_lst_f.txt
│ │ │ fir_top_coef_lst_i.txt
│ │ │ fir_top_inst.vhd
│ │ │ fir_top_mlab.m
│ │ │ fir_top_rpt.htm
│ │ │ fir_top_silent_param.txt
│ │ │ fir_top_sim.v
│ │ │ fir_top_sim.vhd
│ │ │ fir_top_st.inc
│ │ │ fir_top_st.v
│ │ │ fir_top_st_model.v
│ │ │ fir_top_st_model.vhd
│ │ │ fir_top_tb.m
│ │ │ lc_tdl.esf
│ │ │ lc_tdl_en.esf
│ │ │ lc_tdl_mr.esf
│ │ │ lc_tdl_strat.esf
│ │ │ sadd.esf
│ │ │ sadd_lpm.esf
│ │ │ sim_res.txt
│ │ │ tdl_da_lc.esf
│ │ │ zero.hex
│ │ │ zero.mif
│ │ │ zero.v
│ │ │
│ │ ├─atom_netlists
│ │ │ │ fir_top.qsf
│ │ │ │ fir_top.vqm
│ │ │ │
│ │ │ └─db
│ │ └─db
│ │ altsyncram_6m41.tdf
│ │ altsyncram_qs41.tdf
│ │ altsyncram_rs41.tdf
│ │ fir_top(0).cnf.cdb
│ │ fir_top(0).cnf.hdb
│ │ fir_top(1).cnf.cdb
│ │ fir_top(1).cnf.hdb
│ │ fir_top(10).cnf.cdb
│ │ fir_top(10).cnf.hdb
│ │ fir_top(11).cnf.cdb
│ │ fir_top(11).cnf.hdb
│ │ fir_top(12).cnf.cdb
│ │ fir_top(12).cnf.hdb
│ │ fir_top(13).cnf.cdb
│ │ fir_top(13).cnf.hdb
│ │ fir_top(14).cnf.cdb
│ │ fir_top(14).cnf.hdb
│ │ fir_top(15).cnf.cdb
│ │ fir_top(15).cnf.hdb
│ │ fir_top(16).cnf.cdb
│ │ fir_top(16).cnf.hdb
│ │ fir_top(17).cnf.cdb
│ │ fir_top(17).cnf.hdb
│ │ fir_top(18).cnf.cdb
│ │ fir_top(18).cnf.hdb
│ │ fir_top(19).cnf.cdb
│ │ fir_top(19).cnf.hdb
│ │ fir_top(2).cnf.cdb
│ │ fir_top(2).cnf.hdb
│ │ fir_top(20).cnf.cdb
│ │ fir_top(20).cnf.hdb
│ │ fir_top(21).cnf.cdb
│ │ fir_top(21).cnf.hdb
│ │ fir_top(22).cnf.cdb
│ │ fir_top(22).cnf.hdb
│ │ fir_top(23).cnf.cdb
│ │ fir_top(23).cnf.hdb
│ │ fir_top(3).cnf.cdb
│ │ fir_top(3).cnf.hdb
│ │ fir_top(4).cnf.cdb
│ │ fir_top(4).cnf.hdb
│ │ fir_top(5).cnf.cdb
│ │ fir_top(5).cnf.hdb
│ │ fir_top(6).cnf.cdb
│ │ fir_top(6).cnf.hdb
│ │ fir_top(7).cnf.cdb
│ │ fir_top(7).cnf.hdb
│ │ fir_top(8).cnf.cdb
│ │ fir_top(8).cnf.hdb
│ │ fir_top(9).cnf.cdb
│ │ fir_top(9).cnf.hdb
│ │ fir_top.asm.qmsg
│ │ fir_top.cdb.qmsg
│ │ fir_top.cmp.cdb
│ │ fir_top.cmp.ddb
│ │ fir_top.cmp.hdb
│ │ fir_top.cmp.rdb
│ │ fir_top.cmp.tdb
│ │ fir_top.csf.qmsg
│ │ fir_top.db_info
│ │ fir_top.fir_top.sld_design_entry.sci
│ │ fir_top.fit.qmsg
│ │ fir_top.hif
│ │ fir_top.icc
│ │ fir_top.map.cdb
│ │ fir_top.map.hdb
│ │ fir_top.map.qmsg
│ │ fir_top.pre_map.hdb
│ │ fir_top.project.hdb
│ │ fir_top.rtlv.hdb
│ │ fir_top.rtlv_sg.cdb
│ │ fir_top.rtlv_sg_swap.cdb
│ │ fir_top.sgdiff.cdb
│ │ fir_top.sgdiff.hdb
│ │ fir_top.signalprobe.cdb
│ │ fir_top.tan.qmsg
│ │ fir_top_cmp.qrpt
│ │ fir_top_hier_info
│ │ fir_top_syn_hier_info
│ │
│ └─incremental_db
│ │ README
│ │
│ └─compiled_partitions
│ lockit.root_partition.map.kpt

├─Example-s5-1
│ │ 示例说明.doc
│ │
│ ├─des
│ │ │ cmp_state.ini
│ │ │ des.asm.rpt
│ │ │ des.done
│ │ │ des.eda.rpt
│ │ │ des.fit.rpt
│ │ │ des.fit.smsg
│ │ │ des.fit.summary
│ │ │ des.flow.rpt
│ │ │ des.map.rpt
│ │ │ des.map.summary
│ │ │ des.pin
│ │ │ des.pof
│ │ │ des.qpf
│ │ │ des.qsf
│ │ │ des.qws
│ │ │ des.sof
│ │ │ des.ssf
│ │ │ des.tan.rpt
│ │ │ des.tan.summary
│ │ │ des.vqm
│ │ │ des_assignment_defaults.qdf
│ │ │
│ │ ├─db
│ │ │ des.(0).cnf.cdb
│ │ │ des.(0).cnf.hdb
│ │ │ des.(1).cnf.cdb
│ │ │ des.(1).cnf.hdb
│ │ │ des.(10).cnf.cdb
│ │ │ des.(10).cnf.hdb
│ │ │ des.(100).cnf.cdb
│ │ │ des.(100).cnf.hdb
│ │ │ des.(101).cnf.cdb
│ │ │ des.(101).cnf.hdb
│ │ │ des.(102).cnf.cdb
│ │ │ des.(102).cnf.hdb
│ │ │ des.(103).cnf.cdb
│ │ │ des.(103).cnf.hdb
│ │ │ des.(104).cnf.cdb
│ │ │ des.(104).cnf.hdb
│ │ │ des.(105).cnf.cdb
│ │ │ des.(105).cnf.hdb
│ │ │ des.(106).cnf.cdb
│ │ │ des.(106).cnf.hdb
│ │ │ des.(107).cnf.cdb
│ │ │ des.(107).cnf.hdb
│ │ │ des.(108).cnf.cdb
│ │ │ des.(108).cnf.hdb
│ │ │ des.(109).cnf.cdb
│ │ │ des.(109).cnf.hdb
│ │ │ des.(11).cnf.cdb
│ │ │ des.(11).cnf.hdb
│ │ │ des.(110).cnf.cdb
│ │ │ des.(110).cnf.hdb
│ │ │ des.(111).cnf.cdb
│ │ │ des.(111).cnf.hdb
│ │ │ des.(112).cnf.cdb
│ │ │ des.(112).cnf.hdb
│ │ │ des.(113).cnf.cdb
│ │ │ des.(113).cnf.hdb
│ │ │ des.(114).cnf.cdb
│ │ │ des.(114).cnf.hdb
│ │ │ des.(115).cnf.cdb
│ │ │ des.(115).cnf.hdb
│ │ │ des.(116).cnf.cdb
│ │ │ des.(116).cnf.hdb
│ │ │ des.(117).cnf.cdb
│ │ │ des.(117).cnf.hdb
│ │ │ des.(118).cnf.cdb
│ │ │ des.(118).cnf.hdb
│ │ │ des.(119).cnf.cdb
│ │ │ des.(119).cnf.hdb
│ │ │ des.(12).cnf.cdb
│ │ │ des.(12).cnf.hdb
│ │ │ des.(120).cnf.cdb
│ │ │ des.(120).cnf.hdb
│ │ │ des.(121).cnf.cdb
│ │ │ des.(121).cnf.hdb
│ │ │ des.(122).cnf.cdb
│ │ │ des.(122).cnf.hdb
│ │ │ des.(123).cnf.cdb
│ │ │ des.(123).cnf.hdb
│ │ │ des.(124).cnf.cdb
│ │ │ des.(124).cnf.hdb
│ │ │ des.(125).cnf.cdb
│ │ │ des.(125).cnf.hdb
│ │ │ des.(126).cnf.cdb
│ │ │ des.(126).cnf.hdb
│ │ │ des.(127).cnf.cdb
│ │ │ des.(127).cnf.hdb
│ │ │ des.(128).cnf.cdb
│ │ │ des.(128).cnf.hdb
│ │ │ des.(129).cnf.cdb
│ │ │ des.(129).cnf.hdb
│ │ │ des.(13).cnf.cdb
│ │ │ des.(13).cnf.hdb
│ │ │ des.(130).cnf.cdb
│ │ │ des.(130).cnf.hdb
│ │ │ des.(131).cnf.cdb
│ │ │ des.(131).cnf.hdb
│ │ │ des.(132).cnf.cdb
│ │ │ des.(132).cnf.hdb
│ │ │ des.(133).cnf.cdb
│ │ │ des.(133).cnf.hdb
│ │ │ des.(134).cnf.cdb
│ │ │ des.(134).cnf.hdb
│ │ │ des.(135).cnf.cdb
│ │ │ des.(135).cnf.hdb
│ │ │ des.(136).cnf.cdb
│ │ │ des.(136).cnf.hdb
│ │ │ des.(137).cnf.cdb
│ │ │ des.(137).cnf.hdb
│ │ │ des.(138).cnf.cdb
│ │ │ des.(138).cnf.hdb
│ │ │ des.(139).cnf.cdb
│ │ │ des.(139).cnf.hdb
│ │ │ des.(14).cnf.cdb
│ │ │ des.(14).cnf.hdb
│ │ │ des.(140).cnf.cdb
│ │ │ des.(140).cnf.hdb
│ │ │ des.(141).cnf.cdb
│ │ │ des.(141).cnf.hdb
│ │ │ des.(142).cnf.cdb
│ │ │ des.(142).cnf.hdb
│ │ │ des.(143).cnf.cdb
│ │ │ des.(143).cnf.hdb
│ │ │ des.(144).cnf.cdb
│ │ │ des.(144).cnf.hdb
│ │ │ des.(145).cnf.cdb
│ │ │ des.(145).cnf.hdb
│ │ │ des.(15).cnf.cdb
│ │ │ des.(15).cnf.hdb
│ │ │ des.(16).cnf.cdb
│ │ │ des.(16).cnf.hdb
│ │ │ des.(17).cnf.cdb
│ │ │ des.(17).cnf.hdb
│ │ │ des.(18).cnf.cdb
│ │ │ des.(18).cnf.hdb
│ │ │ des.(19).cnf.cdb
│ │ │ des.(19).cnf.hdb
│ │ │ des.(2).cnf.cdb
│ │ │ des.(2).cnf.hdb
│ │ │ des.(20).cnf.cdb
│ │ │ des.(20).cnf.hdb
│ │ │ des.(21).cnf.cdb
│ │ │ des.(21).cnf.hdb
│ │ │ des.(22).cnf.cdb
│ │ │ des.(22).cnf.hdb
│ │ │ des.(23).cnf.cdb
│ │ │ des.(23).cnf.hdb
│ │ │ des.(24).cnf.cdb
│ │ │ des.(24).cnf.hdb
│ │ │ des.(25).cnf.cdb
│ │ │ des.(25).cnf.hdb
│ │ │ des.(26).cnf.cdb
│ │ │ des.(26).cnf.hdb
│ │ │ des.(27).cnf.cdb
│ │ │ des.(27).cnf.hdb
│ │ │ des.(28).cnf.cdb
│ │ │ des.(28).cnf.hdb
│ │ │ des.(29).cnf.cdb
│ │ │ des.(29).cnf.hdb
│ │ │ des.(3).cnf.cdb
│ │ │ des.(3).cnf.hdb
│ │ │ des.(30).cnf.cdb
│ │ │ des.(30).cnf.hdb
│ │ │ des.(31).cnf.cdb
│ │ │ des.(31).cnf.hdb
│ │ │ des.(32).cnf.cdb
│ │ │ des.(32).cnf.hdb
│ │ │ des.(33).cnf.cdb
│ │ │ des.(33).cnf.hdb
│ │ │ des.(34).cnf.cdb
│ │ │ des.(34).cnf.hdb
│ │ │ des.(35).cnf.cdb
│ │ │ des.(35).cnf.hdb
│ │ │ des.(36).cnf.cdb
│ │ │ des.(36).cnf.hdb
│ │ │ des.(37).cnf.cdb
│ │ │ des.(37).cnf.hdb
│ │ │ des.(38).cnf.cdb
│ │ │ des.(38).cnf.hdb
│ │ │ des.(39).cnf.cdb
│ │ │ des.(39).cnf.hdb
│ │ │ des.(4).cnf.cdb
│ │ │ des.(4).cnf.hdb
│ │ │ des.(40).cnf.cdb
│ │ │ des.(40).cnf.hdb
│ │ │ des.(41).cnf.cdb
│ │ │ des.(41).cnf.hdb
│ │ │ des.(42).cnf.cdb
│ │ │ des.(42).cnf.hdb
│ │ │ des.(43).cnf.cdb
│ │ │ des.(43).cnf.hdb
│ │ │ des.(44).cnf.cdb
│ │ │ des.(44).cnf.hdb
│ │ │ des.(45).cnf.cdb
│ │ │ des.(45).cnf.hdb
│ │ │ des.(46).cnf.cdb
│ │ │ des.(46).cnf.hdb
│ │ │ des.(47).cnf.cdb
│ │ │ des.(47).cnf.hdb
│ │ │ des.(48).cnf.cdb
│ │ │ des.(48).cnf.hdb
│ │ │ des.(49).cnf.cdb
│ │ │ des.(49).cnf.hdb
│ │ │ des.(5).cnf.cdb
│ │ │ des.(5).cnf.hdb
│ │ │ des.(50).cnf.cdb
│ │ │ des.(50).cnf.hdb
│ │ │ des.(51).cnf.cdb
│ │ │ des.(51).cnf.hdb
│ │ │ des.(52).cnf.cdb
│ │ │ des.(52).cnf.hdb
│ │ │ des.(53).cnf.cdb
│ │ │ des.(53).cnf.hdb
│ │ │ des.(54).cnf.cdb
│ │ │ des.(54).cnf.hdb
│ │ │ des.(55).cnf.cdb
│ │ │ des.(55).cnf.hdb
│ │ │ des.(56).cnf.cdb
│ │ │ des.(56).cnf.hdb
│ │ │ des.(57).cnf.cdb
│ │ │ des.(57).cnf.hdb
│ │ │ des.(58).cnf.cdb
│ │ │ des.(58).cnf.hdb
│ │ │ des.(59).cnf.cdb
│ │ │ des.(59).cnf.hdb
│ │ │ des.(6).cnf.cdb
│ │ │ des.(6).cnf.hdb
│ │ │ des.(60).cnf.cdb
│ │ │ des.(60).cnf.hdb
│ │ │ des.(61).cnf.cdb
│ │ │ des.(61).cnf.hdb
│ │ │ des.(62).cnf.cdb
│ │ │ des.(62).cnf.hdb
│ │ │ des.(63).cnf.cdb
│ │ │ des.(63).cnf.hdb
│ │ │ des.(64).cnf.cdb
│ │ │ des.(64).cnf.hdb
│ │ │ des.(65).cnf.cdb
│ │ │ des.(65).cnf.hdb
│ │ │ des.(66).cnf.cdb
│ │ │ des.(66).cnf.hdb
│ │ │ des.(67).cnf.cdb
│ │ │ des.(67).cnf.hdb
│ │ │ des.(68).cnf.cdb
│ │ │ des.(68).cnf.hdb
│ │ │ des.(69).cnf.cdb
│ │ │ des.(69).cnf.hdb
│ │ │ des.(7).cnf.cdb
│ │ │ des.(7).cnf.hdb
│ │ │ des.(70).cnf.cdb
│ │ │ des.(70).cnf.hdb
│ │ │ des.(71).cnf.cdb
│ │ │ des.(71).cnf.hdb
│ │ │ des.(72).cnf.cdb
│ │ │ des.(72).cnf.hdb
│ │ │ des.(73).cnf.cdb
│ │ │ des.(73).cnf.hdb
│ │ │ des.(74).cnf.cdb
│ │ │ des.(74).cnf.hdb
│ │ │ des.(75).cnf.cdb
│ │ │ des.(75).cnf.hdb
│ │ │ des.(76).cnf.cdb
│ │ │ des.(76).cnf.hdb
│ │ │ des.(77).cnf.cdb
│ │ │ des.(77).cnf.hdb
│ │ │ des.(78).cnf.cdb
│ │ │ des.(78).cnf.hdb
│ │ │ des.(79).cnf.cdb
│ │ │ des.(79).cnf.hdb
│ │ │ des.(8).cnf.cdb
│ │ │ des.(8).cnf.hdb
│ │ │ des.(80).cnf.cdb
│ │ │ des.(80).cnf.hdb
│ │ │ des.(81).cnf.cdb
│ │ │ des.(81).cnf.hdb
│ │ │ des.(82).cnf.cdb
│ │ │ des.(82).cnf.hdb
│ │ │ des.(83).cnf.cdb
│ │ │ des.(83).cnf.hdb
│ │ │ des.(84).cnf.cdb
│ │ │ des.(84).cnf.hdb
│ │ │ des.(85).cnf.cdb
│ │ │ des.(85).cnf.hdb
│ │ │ des.(86).cnf.cdb
│ │ │ des.(86).cnf.hdb
│ │ │ des.(87).cnf.cdb
│ │ │ des.(87).cnf.hdb
│ │ │ des.(88).cnf.cdb
│ │ │ des.(88).cnf.hdb
│ │ │ des.(89).cnf.cdb
│ │ │ des.(89).cnf.hdb
│ │ │ des.(9).cnf.cdb
│ │ │ des.(9).cnf.hdb
│ │ │ des.(90).cnf.cdb
│ │ │ des.(90).cnf.hdb
│ │ │ des.(91).cnf.cdb
│ │ │ des.(91).cnf.hdb
│ │ │ des.(92).cnf.cdb
│ │ │ des.(92).cnf.hdb
│ │ │ des.(93).cnf.cdb
│ │ │ des.(93).cnf.hdb
│ │ │ des.(94).cnf.cdb
│ │ │ des.(94).cnf.hdb
│ │ │ des.(95).cnf.cdb
│ │ │ des.(95).cnf.hdb
│ │ │ des.(96).cnf.cdb
│ │ │ des.(96).cnf.hdb
│ │ │ des.(97).cnf.cdb
│ │ │ des.(97).cnf.hdb
│ │ │ des.(98).cnf.cdb
│ │ │ des.(98).cnf.hdb
│ │ │ des.(99).cnf.cdb
│ │ │ des.(99).cnf.hdb
│ │ │ des.asm.qmsg
│ │ │ des.asm_labs.ddb
│ │ │ des.cbx.xml
│ │ │ des.cmp.cdb
│ │ │ des.cmp.hdb
│ │ │ des.cmp.kpt
│ │ │ des.cmp.logdb
│ │ │ des.cmp.rdb
│ │ │ des.cmp.tdb
│ │ │ des.cmp0.ddb
│ │ │ des.db_info
│ │ │ des.eco.cdb
│ │ │ des.eda.qmsg
│ │ │ des.fit.qmsg
│ │ │ des.hier_info
│ │ │ des.hif
│ │ │ des.lpc.html
│ │ │ des.lpc.rdb
│ │ │ des.lpc.txt
│ │ │ des.map.cdb
│ │ │ des.map.hdb
│ │ │ des.map.logdb
│ │ │ des.map.qmsg
│ │ │ des.pre_map.cdb
│ │ │ des.pre_map.hdb
│ │ │ des.rtlv.hdb
│ │ │ des.rtlv_sg.cdb
│ │ │ des.rtlv_sg_swap.cdb
│ │ │ des.sgdiff.cdb
│ │ │ des.sgdiff.hdb
│ │ │ des.sld_design_entry.sci
│ │ │ des.sld_design_entry_dsc.sci
│ │ │ des.syn_hier_info
│ │ │ des.tan.qmsg
│ │ │ des.tis_db_list.ddb
│ │ │ des.tmw_info
│ │ │
│ │ ├─des.bak
│ │ │ des.ssf
│ │ │
│ │ └─incremental_db
│ │ │ README
│ │ │
│ │ └─compiled_partitions
│ │ des.root_partition.map.kpt
│ │
│ └─source
│ ├─area_opt
│ │ des.v
│ │ key_sel.v
│ │
│ ├─common
│ │ crp.v
│ │ sbox1.v
│ │ sbox2.v
│ │ sbox3.v
│ │ sbox4.v
│ │ sbox5.v
│ │ sbox6.v
│ │ sbox7.v
│ │ sbox8.v
│ │
│ └─perf_opt
│ des.v
│ key_sel.v

└─Example-s6-1
│ 示例说明.doc

├─Project
│ debounce.bsf
│ debounce.v
│ defs.v
│ my_compare.bsf
│ my_compare.v
│ my_counter.bsf
│ my_counter.v
│ my_dff.bsf
│ my_dff.tdf
│ my_mux.bsf
│ my_mux.tdf
│ new_project.tcl
│ report_failing.tcl
│ svnseg.bsf
│ svnseg.tdf
│ tictactoe.bsf
│ tictactoe.v
│ top.bdf

└─Solution
debounce.bsf
debounce.v
defs.v
my_compare.bsf
my_compare.v
my_counter.bsf
my_counter.v
my_dff.bsf
my_dff.tdf
my_mux.bsf
my_mux.tdf
new_project.tcl
report_failing.tcl
svnseg.bsf
svnseg.tdf
tictactoe.bsf
tictactoe.v
top.bdf
命令行说明.txt

请登录后发表评论

    没有回复内容