分享一份EDA虚拟机环境变量设置(仅供学习参考)-FPGA常见问题论坛-FPGA CPLD-ChipDebug

分享一份EDA虚拟机环境变量设置(仅供学习参考)

0.前言

EDA环境变量的设置可以说是芯片验证工程师的一项基本功,环顾四周,发现身边依然有不少小伙伴对EDA环境变量的设置并不是很熟悉,今天分享一份供大家参考。BTW,上次发这篇文章的时候,复制粘贴搞糊了,误把csh的部分设置粘贴到bash部分了。感谢网友及时指出,非常感谢!本篇已经做了更正,文末有分享链接。

1.csh设置

#!/bin/csh
#
set path=(./ /usr/local/sbin /usr/local/bin /usr/sbin /usr/bin /sbin /bin)
set LD_LIBRARY_PATH=/usr/local/lib64:/usr/lib64:/lib64:/usr/lib:./
#setenv UVM_HOME /eda/sv_kgs/uvm/uvm_src/uvm-1.2
echo "hello,this is line 6 in cshrc"
#echo $path
####################################################################################
## CADENCE EDA TOOLS
setenv CDSROOT /opt/rh
setenv FLEXLM_DIAGNOSTICS 2
setenv CDS_LIC_FILE /etc/iconfig/cds.lic.dat
setenv CDS_LIC_FILE /opt/rh/license/cadence_vip_5.lic:$CDS_LIC_FILE
echo "hello,this is license line 16"
## ICADVM201
setenv CDS_HOME $CDSROOT/ICADVM201
setenv CDS_INST_DIR $CDSROOT/ICADVM201
setenv CDS_LIC_ONLY 1
setenv CDS_AUTO_64BIT ALL
setenv CDS_ENABLE_VMS 1
setenv CDS_Netlisting_Mode Analog
setenv CDS_LOAD_ENV CWD
set path=($CDS_HOME/tools/dfII/bin $CDS_HOME/tools/plot/bin $path)
## EXT191
#setenv QRC_HOME $CDSROOT/EXT191
#set path=($QRC_HOME/bin $QRC_HOME/tools/bin $path)
#setenv QRC_MOS_LW_PRECISION Y
## GENUS201
setenv CDN_SYNTH_ROOT $CDSROOT/GENUS201
set path=($CDN_SYNTH_ROOT/tools/bin $path)
## INNOVUS201
setenv INNOVUS_HOME $CDSROOT/INNOVUS201
set path=($INNOVUS_HOME/bin $path)
## CONFRML191
setenv CONFRML_HOME $CDSROOT/CONFRML191
set path=($CONFRML_HOME/bin $CONFRML_HOME/tools/bin $path)
## SSV202
setenv SSV_HOME $CDSROOT/SSV202
set path=($SSV_HOME/tools/bin $path)
## LIBERATE201
setenv ALTOS_HOME $CDSROOT/LIBERATE201
set path=($ALTOS_HOME/bin $ALTOS_HOME/tools.lnx86/spectre/bin $path)
setenv ALTOS_64 1
## INDAGOA2009
setenv INDAGO_ROOT $CDSROOT/INDAGO2009
set path=($INDAGO_ROOT/bin $INDAGO_ROOT/tools/bin $path)
## JASPER2003
setenv JASPERGOLD_HOME $CDSROOT/JASPERGOLD2003
set path=($JASPERGOLD_HOME/bin $path)
## STRATUS192
setenv STRATUS_HOME $CDSROOT/STRATUS192
set path=($STRATUS_HOME/bin $STRATUS_HOME/tools/bin $path)
## MODUS191
setenv MODUS_HOME $CDSROOT/MODUS201
setenv CDS_LIC_QUEUE_POLL 1
setenv CDS_LIC_QUEUE_POLL_INIT 30
set path=($MODUS_HOME/bin $MODUS_HOME/tools/bin $path)
## SPECTRE201
setenv SPECTRE_HOME $CDSROOT/SPECTRE201
set path=($SPECTRE_HOME/bin $SPECTRE_HOME/tools/bin $path)
## VMANAGER2009
setenv VMANAGER_ROOT $CDSROOT/VMANAGER2009
set path=($VMANAGER_ROOT/bin $VMANAGER_ROOT/tools/bin $VMANAGER_ROOT/tools/vmgr/admin $path)
## XCELIUM2009
setenv XCELIUM_HOME $CDSROOT/XCELIUM2009
set path=($XCELIUM_HOME/bin $XCELIUM_HOME/tools.lnx86/bin $XCELIUM_HOME/tools.lnx86/cdsgcc/gcc/bin $path)
echo "hello,this is license line 68"
#echo $path
##VIP
setenv CDN_VIP_ROOT /opt/rh/vipcat_11.30.054
setenv DENALI $CDN_VIP_ROOT/tools.lnx86/denali_64bit
set path=($DENALI/bin $DENALI/lib $path)
set LD_LIBRARY_PATH=$DENALI/verilog:$LD_LIBRARY_PATH
set LD_LIBRARY_PATH=$XCELIUM_HOME/tools.lnx86/lib/64bit:$LD_LIBRARY_PATH
setenv SPECMAN_HOME $CDN_VIP_ROOT/package
setenv UVM_HOME $XCELIUM_HOME/tools/methodology/UVM/CDNS-1.1d
echo "hello,this is license line 77"
####################################################################################
## MENTOR EDA TOOLS
setenv USE_CALIBRE_VCO aoi
setenv MGCROOT /opt/rh/CALIBRE193
setenv CALIBRE_HOME $MGCROOT/aoi_cal_2019.3_25.15
setenv MGC_HOME $CALIBRE_HOME
setenv MGLS_LICENSE_FILE /etc/iconfig/mgc.lic.dat
set path=($CALIBRE_HOME/bin $path)
setenv MGC_LIB_PATH $CALIBRE_HOME/lib
setenv MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED 1
setenv OA_PLUGIN_PATH $CALIBRE_HOME/shared/pkgs/icv/tools/queryskl
set LD_LIBRARY_PATH=$CALIBRE_HOME/shared/pkgs/icv/tools/calibre_client/lib/64:$LD_LIBRARY_PATH
setenv CALIBRE_ENABLE_SKILL_PEXBA_MODE 1
setenv MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL 1
setenv MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES 1
####################################################################################
##  ARM
###################################################################################
#set path=(/opt/rh/arm/gcc/gcc-arm-none-eabi-5_4-2016q2/bin /usr/local/ARM_Compiler_5/bin64 $path)
set path=(/opt/rh/arm/gcc/gcc-arm-none-eabi-5_4-2016q3/bin /usr/local/ARM_Compiler_5/bin64 $path)
#set path=(/opt/rh/arm/gcc/gcc-arm-none-eabi-5_4-2016q2/bin /opt/rh/arm/DS-5/bin64 $path)
setenv ARMLMD_LICENSE_FILE /usr/local/ARM_Compiler_5/license.dat
setenv XERCESCROOT /usr/local/src/xerces-2.8.0
setenv XALANCROOT /usr/local/src/xalan-1.10.0/c
setenv LD_LIBRARY_PATH $XALANCROOT/lib:$XERCESCROOT/lib:$LD_LIBRARY_PATH


alias g "gvim -p"
alias h "history"
alias .. "cd .."
alias ... "cd ../.."
alias ll "ls -rtl"
alias dvt "/opt/rh/dvt_eclipse/bin/dvt.sh"


#alias cd 'chdir !*;set prompt="%B`whoami`:$cwd %";ls'
set promp="[`hostname` `pwd`]: % "
set cmdh="!"
echo "hello,this is csh"

 

2.bash设置

#!/bin/sh
#
export PATH="./:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin"
export LD_LIBRARY_PATH=/usr/local/lib64:/usr/lib64:/lib64:./
#export UVM_HOME=/eda/sv_kgs/uvm/uvm_src/uvm-1.2
####################################################################################
## CADENCE EDA TOOLS
export CDSROOT="/opt/rh"
export FLEXLM_DIAGNOSTICS=2
export CDS_LIC_FILE="/etc/iconfig/cds.lic.dat"
export CDS_LIC_FILE="/opt/rh/license/cadence_vip_5.lic:$CDS_LIC_FILE"
## ICADVM201
export CDS_HOME="$CDSROOT/ICADVM201"
export CDS_INST_DIR="$CDSROOT/ICADVM201"
export CDS_LIC_ONLY=1
export CDS_AUTO_64BIT="ALL"
export CDS_ENABLE_VMS=1
export CDS_Netlisting_Mode="Analog"
export CDS_LOAD_ENV="CWD"
export PATH="$PATH:$CDS_HOME/tools/dfII/bin:$CDS_HOME/tools/plot/bin"
## EXT191
export QRC_HOME="$CDSROOT/EXT191"
export PATH="$PATH:$QRC_HOME/bin:$QRC_HOME/tools/bin"
export QRC_MOS_LW_PRECISION="Y"
## GENUS201
export CDN_SYNTH_ROOT="$CDSROOT/GENUS201"
export PATH="$PATH:$CDN_SYNTH_ROOT/tools/bin"
## INNOVUS201
export INNOVUS_HOME="$CDSROOT/INNOVUS201"
export PATH="$PATH:$INNOVUS_HOME/bin"
## CONFRML191
export CONFRML_HOME="$CDSROOT/CONFRML191"
export PATH="$PATH:$CONFRML_HOME/bin:$CONFRML_HOME/tools/bin"
## SSV202
export SSV_HOME="$CDSROOT/SSV202"
export PATH="$PATH:$SSV_HOME/tools/bin"
## LIBERATE201
export ALTOS_HOME="$CDSROOT/LIBERATE201"
export PATH="$PATH:$ALTOS_HOME/bin:$ALTOS_HOME/tools.lnx86/spectre/bin"
export ALTOS_64=1
## INDAGOA2009
export INDAGO_ROOT="$CDSROOT/INDAGO2009"
export PATH="$PATH:$INDAGO_ROOT/bin:$INDAGO_ROOT/tools/bin"
## JASPER2003
export JASPERGOLD_HOME="$CDSROOT/JASPERGOLD2003"
export PATH="$PATH:$JASPERGOLD_HOME/bin"
## STRATUS192
export STRATUS_HOME="$CDSROOT/STRATUS192"
#export PATH="$PATH:$STRATUS_HOME/bin:$STRATUS_HOME:/tools/bin"
export PATH="$PATH:$STRATUS_HOME/bin:$STRATUS_HOME/tools/bin"
## MODUS191
export MODUS_HOME="$CDSROOT/MODUS201"
export CDS_LIC_QUEUE_POLL=1
export CDS_LIC_QUEUE_POLL_INIT=30
#export PATH="$PATH:$MODUS_HOME/bin:$MODUS_HOME:/tools/bin"
export PATH="$PATH:$MODUS_HOME/bin:$MODUS_HOME/tools/bin"
## SPECTRE201
export SPECTRE_HOME="$CDSROOT/SPECTRE201"
export PATH="$PATH:$SPECTRE_HOME/bin:$SPECTRE_HOME/tools/bin"
## VMANAGER2009
export VMANAGER_ROOT="$CDSROOT/VMANAGER2009"
#export PATH="$PATH:$VMANAGER_ROOT/bin:$VMANAGER_HOME/tools/bin"
export PATH="$PATH:$VMANAGER_ROOT/bin:$VMANAGER_ROOT/tools/bin"
## XCELIUM2009
export XCELIUM_HOME="$CDSROOT/XCELIUM2009"
export PATH="$PATH:$XCELIUM_HOME/bin:$XCELIUM_HOME/tools/bin"
export LD_LIBRARY_PATH="$XCELIUM_HOME/tools.lnx86/lib/64bit:${LD_LIBRARY_PATH}"
##VIP
export CDN_VIP_ROOT="/opt/rh/vipcat_11.30.054"
export DENALI="$CDN_VIP_ROOT/tools/denali_64bit"
export PATH="$PATH:$DENALI/bin:$DENALI/lib"
export LD_LIBRARY_PATH="$DENALI/verilog:${LD_LIBRARY_PATH}"
export SPECMAN_HOME="$VIPCAT/package"
export UVM_HOME=$XCELIUM_HOME/tools/methodology/UVM/CDNS-1.1d
####################################################################################


####################################################################################
## MENTOR EDA TOOLS
export USE_CALIBRE_VCO="aoi"
export MGCROOT="/opt/rh/CALIBRE193"
export CALIBRE_HOME="$MGCROOT/aoi_cal_2019.3_25.15"
export MGC_HOME="$CALIBRE_HOME"
export MGLS_LICENSE_FILE="/etc/iconfig/mgc.lic.dat"
export PATH="$PATH:$CALIBRE_HOME/bin"
export MGC_LIB_PATH="$CALIBRE_HOME/lib"
export MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1
export OA_PLUGIN_PATH="$CALIBRE_HOME/shared/pkgs/icv/tools/queryskl"
export LD_LIBRARY_PATH="$CALIBRE_HOME/shared/pkgs/icv/tools/calibre_client/lib/64:${LD_LIBRARY_PATH}"
export CALIBRE_ENABLE_SKILL_PEXBA_MODE=1
export MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL=1
export MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1
####################################################################################
##  ARM
###################################################################################
#export PATH="$PATH:/opt/rh/arm/gcc/gcc-arm-none-eabi-5_4-2016q2/bin /usr/local/ARM_Compiler_5/bin64 "
export PATH="$PATH:/opt/rh/arm/gcc/gcc-arm-none-eabi-5_4-2016q3/bin /usr/local/ARM_Compiler_5/bin64 "
#export PATH="$PATH:/opt/rh/arm/gcc/gcc-arm-none-eabi-5_4-2016q2/bin /opt/rh/arm/DS-5/bin64"
export ARMLMD_LICENSE_FILE=/usr/local/ARM_Compiler_5/license.dat
export XERCESCROOT=/usr/local/src/xerces-2.8.0
export XALANCROOT=/usr/local/src/xalan-1.10.0/c
export LD_LIBRARY_PATH=$XALANCROOT/lib:$XERCESCROOT/lib:$LD_LIBRARY_PATH


# Uncomment the following line if you don't like systemctl's auto-paging feature:
# export SYSTEMD_PAGER=


# User specific aliases and functions
export PS1='[u@h `pwd`]$'


alias gv="gvim"
alias g="gvim -p"
alias la="ls -a"
alias lla="ll -a"
alias ..="cd ../"
alias gt="/usr/bin/gnome-terminal --tab&"
cd() { builtin cd "$@" && ls; }
#=============================================================================================
#   Synopsys Tools
#=============================================================================================
export DVE_HOME=/home/synopsys/vcs-mx/O-2018.09-1
export VCS_HOME=/home/synopsys/vcs-mx/O-2018.09-1
export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/synopsys/scl/2018.06
export DC_HOME=/home/synopsys/syn/O-2018.06-SP1
export LC_HOME=/home/synopsys/lc/O-2018.06-SP1
export SPYGLASS_HOME=/home/synopsys/SpyGlass-L2016.06/SPYGLASS_HOME/
export PT_HOME=/home/synopsys/pts/O-2018.06-SP1
export ICC2_HOME=/home/synopsys/icc2/O-2018.06-SP1
export SYNPLIFY_HOME=/home/synopsys/fpga/N-2018.03-SP1
export LD_LIBRARY_PATH="$LD_LIBRARY_PATH:$VERDI_HOME/share/PLI/lib/LINUX64"
export LD_LIBRARY_PATH="$LD_LIBRARY_PATH:$VERDI_HOME/share/PLI/IUS/LINUX64/boot"
#Synplify
PATH=$PATH:$SYNPLIFY_HOME/bin
alias synplify="synplify"
#PT
PATH=$PATH:$PT_HOME/bin
alias pt="pt_shell"
#ICC2
PATH=$PATH:$ICC2_HOME/bin
alias icc2="icc2_shell"
#DC
PATH=$PATH:$DC_HOME/bin
alias dc="dc_shell"
alias dv="design_vision"
#lib compile
PATH=$PATH:$LC_HOME/bin
alias lc="lc_shell"
#Spyglass
PATH=$PATH:$SPYGLASS_HOME/bin
alias spyglass="spyglass"
#Dve
PATH=$PATH:$DVE_HOME/gui/dve/bin
alias dve="dve -full64"
#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"
#Verdi
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"
#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux
#SynopsysInstaller
PATH=$PATH:$SYNOP_INSTALL50_HOME
alias SYN_install="/home/synopsys/SynopsysInstaller_v5.0/setup.sh &"
#=============================================================================================
#   Mentor Tools
#=============================================================================================
export QUESTASIM_HOME=/home/mentor/questasim
export PATH=$PATH:$QUESTASIM_HOME/linux_x86_64
#Questasim
alias vsim="vsim"
alias questasim="vsim"
#=============================================================================================
#   Xilinx Tools
#=============================================================================================
#source /home/Xilinx/Vivado/2019.1/settings64.sh
#=============================================================================================
#   RISCV Tools
#=============================================================================================
export RISCV=/home/Riscv_Tools
export QEMU_HOME=$RISCV/riscv-gnu-toolchain/qemu-6.0.0
PATH=$PATH:$RISCV/bin
PATH=$PATH:$QEMU_HOME/build/riscv32-linux-user
alias qemu32='qemu-riscv32';
alias rv32_elf='riscv32-unknown-elf-gcc';
echo "hello,this is bash"

 

3.赠送环境变量的配置源文件和虚拟机

关注微信公众号《芯片验证日记》,后台回复”shrc”,可得本文配置文件和某著名大佬的虚拟机对应的百度链接,目录如下图所示,仅供参考。

d2b5ca33bd100934

 

 

 

请登录后发表评论