【技巧】Vivado 仿真器simulation显示模拟波形图(非数字波形)-Xilinx-AMD论坛-FPGA CPLD-ChipDebug

【技巧】Vivado 仿真器simulation显示模拟波形图(非数字波形)

 

 

【技巧】Vivado 仿真器simulation显示模拟波形图(非数字波形)

设置步骤

①打开Vivado的任意一个可以运行的工程
②点击Simulation -> Run Behavioral Simulation进行仿真

图1
③等待运行结束后会弹出如图2所示的数字波形图
图2
④右键点击需要查看模拟波形的项目,在Waveform Style下选择Analog即可显示出模拟波形

图3
图4

其他

Simulation运行后的结果一般为十六进制的数值,我们可以通过右键需要改变进制的项目,点击Radix选择需要的进制,如有符号的十进制就选择Signed Decimal。

在这里插入图片描述

 

请登录后发表评论

    没有回复内容