PotatoPie 4.0 实验教程(31) —— FPGA实现摄像头图像高斯滤波-Anlogic-安路论坛-FPGA CPLD-ChipDebug

PotatoPie 4.0 实验教程(31) —— FPGA实现摄像头图像高斯滤波

手机扫码

20240416075513933-1713225291635

链接直达

https://item.taobao.com/item.htm?ft=t&id=776516984361

什么是高斯滤波

高斯滤波是一种常见的图像处理技术,用于去除图像中的噪声和平滑图像。它的原理基于统计学中的高斯分布(也称为正态分布)。

在高斯滤波中,一个二维的高斯核函数被用来对图像中的每个像素进行加权平均。这个高斯核函数定义了一个权重分布,使得距离中心像素越远的像素在平均过程中具有较小的权重,而距离中心像素越近的像素具有较大的权重。这种权重的分布导致了一个平滑的效果,因为图像中每个像素的值都是由周围像素的加权平均所决定的。

高斯滤波的主要优点是能够有效地去除高斯噪声和其他类型的噪声,同时保持图像的边缘和细节。然而,它也有一些缺点,例如在处理大尺度的噪声时可能会丢失一些细节信息,并且计算复杂度较高。

在实际应用中,高斯滤波常常被用来作为图像预处理的步骤,以提高后续图像处理算法的性能,例如边缘检测、图像分割等。

高斯滤波算子是指用于执行高斯滤波操作的核或矩阵。这个算子是一个二维的矩阵,其中的元素值是按照高斯函数的形式进行定义的。对于一个给定的高斯滤波算子,其大小(通常是一个奇数)和标准差(控制高斯分布的宽度)是两个关键的参数。一般来说,较大的标准差会导致一个更广泛的权重分布,从而导致更加平滑的结果。高斯滤波算子的中心元素具有最大的权重,而周围的元素权重则随着它们与中心元素的距离递减。这种权重的分布使得该滤波器对图像中的噪声有针对性地进行平滑处理,同时保留图像的细节和边缘。

通常情况下,高斯滤波算子的大小是一个正方形矩阵,其元素值是通过高斯函数计算得到的。例如,一个3×3的高斯滤波算子如下所示:

1 2 1
2 4 2
1 2 1

这里的权重是通过一个标准差为1的高斯函数计算得到的。实际应用中,为了减少计算复杂度,常常会对这个矩阵进行归一化,使得权重之和等于1。

高斯滤波的步骤和算法实现

  1. 确定高斯核大小和标准差: 首先需要确定高斯核的大小,通常选择一个奇数大小的核,例如3×3、5×5等。同时,还需要指定高斯核的标准差,标准差越大,权重分布越广,平滑效果越明显。

  2. 构建高斯核: 根据确定的大小和标准差,构建一个二维高斯函数作为滤波核。

  3. 对图像进行卷积: 将构建的高斯核应用于原始图像上,对每个像素及其周围像素进行加权平均。这一步实质上是将高斯核与图像进行卷积操作,计算每个像素点的新值。

  4. 边界处理: 在卷积过程中,需要考虑图像边界的处理。通常可以采用各种边界填充方式,如零填充、复制边界像素值等,以确保卷积操作在边界处能够正确进行。

  5. 输出结果: 最终得到经过高斯滤波处理后的图像,其中噪声已经被平滑,图像边缘和细节得到保留。

python实现高斯滤波算法源码

这段代码实现了以下功能:

  1. 读取图像文件并转换为灰度图像:从文件系统中读取名为”Lena.jpg”的图像文件,并将其转换为灰度图像。

  2. 高斯滤波处理:对原始灰度图像进行高斯滤波处理,以消除图像中的噪声。使用了一个 3×3 的高斯核进行滤波操作。

  3. 添加椒盐噪声:对原始灰度图像添加椒盐噪声,以模拟图像中的噪声情况。

  4. 高斯滤波处理(含椒盐噪声):对添加了椒盐噪声的灰度图像进行高斯滤波处理,以消除噪声并保留图像细节。

  5. 添加高斯噪声:对原始灰度图像添加高斯噪声,以模拟图像中的噪声情况。

  6. 高斯滤波处理(含高斯噪声):对添加了高斯噪声的灰度图像进行高斯滤波处理,以消除噪声并保留图像细节。

  7. 显示结果图像:使用matplotlib库将原始灰度图像、高斯滤波处理后的图像、添加椒盐噪声后的图像、高斯滤波处理后的图像(含椒盐噪声)、添加高斯噪声后的图像、以及高斯滤波处理后的图像(含高斯噪声)进行显示。

    20240419195509358-image

MATLAB实现高斯滤波算法源码

这段代码加载了一张名为”Lena.jpg”的图片,并对其进行了以下处理:

  1. 将图片转换为灰度图像。
  2. 对原始灰度图像执行高斯滤波。
  3. 向原始灰度图像添加椒盐噪声,然后对其执行高斯滤波。
  4. 向原始灰度图像添加高斯噪声,然后对其执行高斯滤波。

最后,代码将这些处理后的图像以子图形式显示出来。

20240419192849724-image

FPGA工程分析

工程层次图

20240419204457301-image

demo18相比,只是多了一个img_gaus_fltr的模块,也就是下面这一段代码,在从SDRAM读出来之后,经它处理后再输出hdmi_tx模块。

img_gaus_fltr u_img_gaus_fltr(
    .i_clk(clk_pixel),
    .i_rst_n(sys_rst_n),
    .i_hs(VGA_HS),
    .i_vs(VGA_VS),
    .i_de(VGA_DE),
    .i_r(VGA_RGB[23:16]),
    .i_g(VGA_RGB[15:8] ),
    .i_b(VGA_RGB[7:0]  ),        
    .o_hs(gaus_hs),
    .o_vs(gaus_vs),
    .o_de(gaus_de),   
    .o_r(gaus_data[23:16]),
    .o_g(gaus_data[15:8] ),
    .o_b(gaus_data[7:0]  )
);

img_gaus_fltr模块源代码分析

跟前面几篇教程一样,我们都需要先用行缓存将像素转化为同时输出3行3列来,后面的讲解都以R通道为例,因为其它三个通道的行为是一样的:

img_buf u_r_buf
(
	.i_clk		(i_clk				),
	.i_rst_n	(i_rst_n			),
	.i_de		(i_de				),
	.i_data		(i_r				),
	.o_de		(					),
	.o_p11		(r_p11			),
	.o_p12		(r_p12			),
	.o_p13		(r_p13			),	
	.o_p21		(r_p21			),
	.o_p22		(r_p22			),
	.o_p23		(r_p23			),		
	.o_p31		(r_p31			),
	.o_p32		(r_p32			),
	.o_p33		(r_p33			)
);

然后对像素进行高斯滤波,分成三步:

1.先计算每一行的和,注意代码中运用移位优化了 *2 和*4的操作。

2.然后计算总和:sum_r <= sum_r1 + sum_r2 + sum_r3;

3.然后取和的高8位为有效位,相当于除以16:gau_r <= sum_r[11:4];

管脚约束

PotatoPie 4.0 实验教程(18) —— FPGA实现OV5640摄像头采集以SDRAM作为显存进行HDMI输出显示相同,不作赘述。

时序约束

PotatoPie 4.0 实验教程(18) —— FPGA实现OV5640摄像头采集以SDRAM作为显存进行HDMI输出显示相同,不作赘述。

实验效果

20240419205915555-image

 

请登录后发表评论

    没有回复内容