ViVADO约束——我如何限制ViVADO中的差分时钟或数据对?-Xilinx-AMD论坛-FPGA CPLD-ChipDebug

ViVADO约束——我如何限制ViVADO中的差分时钟或数据对?

描述

如何限制ViVADO中的差分时钟?

我应该为每个端口(即P和N侧)创建一个时钟吗?
如果我在P和N上创建一个时钟,会发生什么?
此外,在输入延迟和输出延迟约束下,是否需要约束差分数据端口的P和N两个边?

解决方案

只有差分端口的P侧需要被约束。

该工具将约束向前传播到iBFGDS实例的输出。

如果两者都被约束,则工具将它们作为两个独立的时钟定义,并分析它们之间的时钟路径。

这可能导致不正确的要求。

类似地,只有差分数据端口的p侧需要在输入延迟和输出延迟约束中受到约束。

N侧路径的分析与P侧完全相同。

请登录后发表评论

    没有回复内容