Vivado  – 使用Vivado成功将寄存器打包到IOB中-Xilinx-AMD论坛-FPGA CPLD-ChipDebug

Vivado – 使用Vivado成功将寄存器打包到IOB中

描述

本文介绍了使用Vivado将寄存器成功打包到IOB所需的内容。可以将IOB指定为RTL属性或通过XDC约束文件。

通过这两种方法,IOB属性将被设置为端口或单元(寄存器)上的属性。

将输入/输出寄存器正确地打包到IOB中取决于逻辑连接的合格性和IOB的可用性。

请登录后发表评论

    没有回复内容