基于xilinx FPGA的DDS 256 工程分享-FPGA CPLD资料源码分享论坛-FPGA CPLD-ChipDebug

基于xilinx FPGA的DDS 256 工程分享

该帖子部分内容已隐藏
付费阅读
已售 1
10积分
此内容为付费阅读,请付费后查看

│ coe_generator_256.m
│ sin.coe
│ tree.txt

└─DDS_256_Normal
│ DDS_256_Normal.xpr

├─DDS_256_Normal.cache
│ └─wt
│ gui_handlers.wdf
│ java_command_handlers.wdf
│ project.wpc
│ webtalk_pa.xml
│ xsim.wdf

├─DDS_256_Normal.hw
│ DDS_256_Normal.lpr

├─DDS_256_Normal.ip_user_files
│ │ README.txt
│ │
│ ├─bd
│ │ └─design_1
│ │ ├─ip
│ │ │ ├─design_1_blk_mem_gen_0_1
│ │ │ │ └─sim
│ │ │ │ design_1_blk_mem_gen_0_1.v
│ │ │ │
│ │ │ └─design_1_c_counter_binary_0_0
│ │ │ └─sim
│ │ │ design_1_c_counter_binary_0_0.vhd
│ │ │
│ │ └─sim
│ │ design_1.v
│ │
│ ├─mem_init_files
│ │ design_1_blk_mem_gen_0_1.mif
│ │ sin.coe
│ │
│ └─sim_scripts
│ └─design_1
│ │ README.txt
│ │
│ ├─activehdl
│ │ compile.do
│ │ design_1.sh
│ │ design_1.udo
│ │ design_1_blk_mem_gen_0_1.mif
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ simulate.do
│ │ sin.coe
│ │ wave.do
│ │
│ ├─ies
│ │ design_1.sh
│ │ design_1_blk_mem_gen_0_1.mif
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ run.f
│ │ sin.coe
│ │
│ ├─modelsim
│ │ compile.do
│ │ design_1.sh
│ │ design_1.udo
│ │ design_1_blk_mem_gen_0_1.mif
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ simulate.do
│ │ sin.coe
│ │ wave.do
│ │
│ ├─questa
│ │ compile.do
│ │ design_1.sh
│ │ design_1.udo
│ │ design_1_blk_mem_gen_0_1.mif
│ │ elaborate.do
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ simulate.do
│ │ sin.coe
│ │ wave.do
│ │
│ ├─riviera
│ │ compile.do
│ │ design_1.sh
│ │ design_1.udo
│ │ design_1_blk_mem_gen_0_1.mif
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ simulate.do
│ │ sin.coe
│ │ wave.do
│ │
│ ├─vcs
│ │ design_1.sh
│ │ design_1_blk_mem_gen_0_1.mif
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ simulate.do
│ │ sin.coe
│ │
│ ├─xcelium
│ │ design_1.sh
│ │ design_1_blk_mem_gen_0_1.mif
│ │ file_info.txt
│ │ glbl.v
│ │ README.txt
│ │ run.f
│ │ sin.coe
│ │
│ └─xsim
│ cmd.tcl
│ design_1.sh
│ design_1_blk_mem_gen_0_1.mif
│ elab.opt
│ file_info.txt
│ glbl.v
│ README.txt
│ sin.coe
│ vhdl.prj
│ vlog.prj
│ xsim.ini

├─DDS_256_Normal.sim
│ └─sim_1
│ └─behav
│ └─xsim
│ │ compile.bat
│ │ compile.log
│ │ DDS_Test1.tcl
│ │ DDS_Test1_behav.wdb
│ │ DDS_Test1_vhdl.prj
│ │ DDS_Test1_vlog.prj
│ │ design_1_blk_mem_gen_0_1.mif
│ │ elaborate.bat
│ │ elaborate.log
│ │ glbl.v
│ │ simulate.bat
│ │ simulate.log
│ │ sin.coe
│ │ webtalk.jou
│ │ webtalk.log
│ │ webtalk_17280.backup.jou
│ │ webtalk_17280.backup.log
│ │ xelab.pb
│ │ xsim.ini
│ │ xsim.ini.bak
│ │ xvhdl.log
│ │ xvhdl.pb
│ │ xvlog.log
│ │ xvlog.pb
│ │
│ ├─.Xil
│ │ ├─Webtalk-17280-DESKTOP-17C51AP
│ │ │ └─webtalk
│ │ └─Webtalk-7728-DESKTOP-17C51AP
│ │ └─webtalk
│ └─xsim.dir
│ │ xsim.svtype
│ │
│ ├─DDS_Test1_behav
│ │ │ Compile_Options.txt
│ │ │ TempBreakPointFile.txt
│ │ │ xsim.dbg
│ │ │ xsim.mem
│ │ │ xsim.reloc
│ │ │ xsim.rlx
│ │ │ xsim.rtti
│ │ │ xsim.svtype
│ │ │ xsim.type
│ │ │ xsim.xdbg
│ │ │ xsimcrash.log
│ │ │ xsimk.exe
│ │ │ xsimkernel.log
│ │ │ xsimSettings.ini
│ │ │
│ │ ├─obj
│ │ │ xsim_0.win64.obj
│ │ │ xsim_1.c
│ │ │ xsim_1.win64.obj
│ │ │
│ │ └─webtalk
│ │ .xsim_webtallk.info
│ │ usage_statistics_ext_xsim.html
│ │ usage_statistics_ext_xsim.wdm
│ │ usage_statistics_ext_xsim.xml
│ │ xsim_webtalk.tcl
│ │
│ └─xil_defaultlib
│ @d@d@s_@test1.sdb
│ design_1.sdb
│ design_1_blk_mem_gen_0_1.sdb
│ design_1_c_counter_binary_0_0.vdb
│ design_1_wrapper.sdb
│ glbl.sdb
│ xil_defaultlib.rlx

└─DDS_256_Normal.srcs
├─sim_1
│ └─new
│ DDS_Test1.v

└─sources_1
└─bd
└─design_1
│ design_1.bd
│ design_1.bxml
│ design_1_ooc.xdc

├─hdl
│ design_1_wrapper.v

├─hw_handoff
│ design_1.hwh
│ design_1_bd.tcl

├─ip
│ ├─design_1_blk_mem_gen_0_1
│ │ │ design_1_blk_mem_gen_0_1.mif
│ │ │ design_1_blk_mem_gen_0_1.xci
│ │ │ design_1_blk_mem_gen_0_1.xml
│ │ │ design_1_blk_mem_gen_0_1_ooc.xdc
│ │ │
│ │ ├─sim
│ │ │ design_1_blk_mem_gen_0_1.v
│ │ │
│ │ └─synth
│ │ design_1_blk_mem_gen_0_1.vhd
│ │
│ └─design_1_c_counter_binary_0_0
│ │ design_1_c_counter_binary_0_0.xci
│ │ design_1_c_counter_binary_0_0.xml
│ │ design_1_c_counter_binary_0_0_ooc.xdc
│ │
│ ├─sim
│ │ design_1_c_counter_binary_0_0.vhd
│ │
│ └─synth
│ design_1_c_counter_binary_0_0.vhd

├─ipshared
│ ├─0952
│ │ └─hdl
│ │ xbip_counter_v3_0_vh_rfs.vhd
│ │
│ ├─0e42
│ │ └─hdl
│ │ xbip_addsub_v3_0_vh_rfs.vhd
│ │
│ ├─442e
│ │ └─hdl
│ │ xbip_pipe_v3_0_vh_rfs.vhd
│ │
│ ├─67d8
│ │ ├─hdl
│ │ │ blk_mem_gen_v8_4_vhsyn_rfs.vhd
│ │ │
│ │ └─simulation
│ │ blk_mem_gen_v8_4.v
│ │
│ ├─693f
│ │ └─hdl
│ │ c_gate_bit_v12_0_vh_rfs.vhd
│ │
│ ├─7f1a
│ │ └─hdl
│ │ c_addsub_v12_0_vh_rfs.vhd
│ │
│ ├─a5f8
│ │ └─hdl
│ │ xbip_utils_v3_0_vh_rfs.vhd
│ │
│ ├─ad9e
│ │ └─hdl
│ │ xbip_dsp48_addsub_v3_0_vh_rfs.vhd
│ │
│ ├─c366
│ │ └─hdl
│ │ c_counter_binary_v12_0_vh_rfs.vhd
│ │
│ ├─cbdd
│ │ └─hdl
│ │ c_reg_fd_v12_0_vh_rfs.vhd
│ │
│ └─da55
│ └─hdl
│ xbip_dsp48_wrapper_v3_0_vh_rfs.vhd

├─sim
│ design_1.v

├─synth
│ design_1.hwdef
│ design_1.v

└─ui
bd_1f5defd0.ui

下载链接:

请登录后发表评论