推荐system Verilog相关书籍免费下载-FPGA CPLD资料源码分享论坛-FPGA CPLD-ChipDebug

推荐system Verilog相关书籍免费下载

该帖子部分内容已隐藏
付费阅读
此内容为付费阅读,请付费后查看

System Verilog 在多数情况下被用于 IC 验证,相关书籍其实比较多,这里先提供绿皮书,红宝书,希望对大家有用。

01
SystemVerilog数字系统设计

这本书也是夏宇闻所译,是第一本全面介绍SystemVerilog语言及讲解使用这种语言设计现代数字电路硬件技术的教材。Mark Zwolinsk曾编写了一本十分畅销的教材——Digital System Design with VHDL。在该书讲述方法的基础上,作者在《SystemVerilog数字系统设计》中阐述了使用SysternVerilog语言进行自动化设计的全过程,以及工程师必须了解的所有知识点,包括从建模、功能仿真、综合、时序,一直到故障仿真。

通过约150个实际例子(每个例子都有细心安排的语法细节和足够深度的知识点)来实现教学目标,使学生能很快掌握硬件设计和验证方法,《SystemVerilog数字系统设计》中所有的例子都可以从相关网站下载。《SystemVerilog数字系统设计》特点:验证方法,《SystemVerilog数字系统设计》中所有的例子都可以从相关网站下载。使用电子设计自动化工具,在CMOS工艺和可编程逻辑器件上实现数字系统。

图1 SystemVerilog数字系统设计

核心建模技术:组合电路构造块、缓冲器、译码器、编码器、多路选择器、加法器和奇偶校验器。时序电路构造块:锁存器、触发器、寄存器、计数器、存储器和时序乘法器。有限状态机的设计:从算法状态机(ASM)图到 D 触发器,下一个状态和输出逻辑。

使用SysterriVerilog为接口和封装建立模型。设计测试平台:体系架构,受约束随机测试的产生,以及基于断言的验证。RTL 和 FPGA 综合模型的描述。理解和实现针对测试的设计(DFT) 。探索异步时序电路的反常行为。

02
SystemVerilog硬件设计及建模

本书是介绍 SystemVerilog(Verilog-2005)的实用图书。书中深入浅出地介绍 SystemVerilog 相比于Verilog 新增加的特性,包括新的数据类型、操作符、过程块语句以及适于 SoC 设计的接口结构,这些新增加的特性大大提高 Verilog 的高层抽象能力,弥补 Verilog 底层描述能力强但系统级描述能力弱的缺点。

为了进一步说明这些新的数据类型、操作符和过程语句,本书对 Verilog 语句中的数据类型、操作符以及过程语句的仿真行为进行深入的分析,以便于读者加深对 Verilog 的理解。此外,本书还介绍 SystemVerilog 增加的一些系统连接描述方法,相对于 Verilog-2001,这些方法可以进一步简化系统连接,提高设计效率。本书提供许多代码例子,这些例子可以从网上下载,有助于读者对 SystemVerilog 的学习。

图2 SystemVerilog硬件设计及建模

03
system verilog验证 第二版

SystemVerilog 验证(测试平台编写指南原书第2版) 可以作为学习 SystemVerilog 验证语言的初级阶段读物。书中描述了语言的工作原理并且包含了很多例子,这些例子演示了如何使用面向对象编程(OOP)的方法建立一个基本的、由覆盖率驱动并且受约束的随机分层测试平台。

最近几年 IC 行业可以说相当火爆,数字 IC 验证岗位是需要人才最多的岗位,需要学习相关的验证方法学,而 system verilog 验证 第二版就是通常所说的绿皮书,作为验证学习的重要书籍。

 

图3 system verilog验证 第二版

04
芯片验证漫游指南

这本被称为红宝书,资深验证专家刘斌(路桑)全面介绍芯片验证,从验证的理论,到 SystemVerilog 语言和 UVM 验证方法学,再到高级验证项目话题。这本综合性、实用性的验证理论和编程方面的图书,针对芯片验证领域不同级别的验证工程师,给出由浅入深的技术指南:学习验证理论来认识验证流程和标准,学习 SystemVerilog 语言和 UVM 方法学来掌握目前主流的动态验证技术,了解高级验证话题在今后遇到相关问题时可以参考。

图4 芯片验证漫游指南

05
UVM 实战指南

全书详尽介绍了 UVM 的 factory 机制、sequence 机制、phase机制、objection机制及寄存器模型等的使用。此外,本书还试图引导读者思考 UVM 为什么要引入这些机制,从而使读者知其然,更知其所以然。本书以一个完整的示例开篇,使得读者一开始就对如何使用 UVM 搭建验证平台有总体的概念。

本书提供大量示例代码,这些代码都经过实际的运行。全书内容力求简单易懂,尽量将 UVM 中的概念与读者已有的概念联系起来。在第 11 章还专门介绍了 OVM 与 UVM 的区别,为那些从 OVM迁移到 UVM 的用户提供很大帮助。

本书主要面向 UVM 的初学者及想对 UVM 追根寻底的中级用户。针对没有面向对象编程基础的用户,本书在附录中简要介绍了面向对象的概念及 SystemVerilog中区别于其他编程语言的一些特殊语法。

图5 UVM 实战指南

综上,书籍推荐目前就这么多,在学 System Verilog 之前,希望还是还将 Verilog HDL 学好,理解相关概念会事半功倍,直接看后面几本书可能看不懂。

 

请登录后发表评论