定时-Spartan-6-当ODDR2的DDRIAL=C0/C1时,在偏移抵消约束下不再分析与另一个时钟引脚(C1/C0)相关联的时序路径。-Xilinx-AMD论坛-FPGA CPLD-ChipDebug

定时-Spartan-6-当ODDR2的DDRIAL=C0/C1时,在偏移抵消约束下不再分析与另一个时钟引脚(C1/C0)相关联的时序路径。

描述

在一个SARTAN-6设计中,将ODR2实例的DDRI对齐参数设为C0/C1,偏移输出约束只分析与C0/C1相关的时序路径,但没有分析C1/C0的路径。

当DDRL对齐=没有,那么两个时钟引脚的路径按照预期进行分析。

问题的示例约束:

1。当使用C0/C1的局部逆变器时:

TimeGRP“DATaOUT0”偏移=在CK0上升后8毫微秒;
TimeGRP“DATaOUT0”偏移=在CK0下降后8毫微秒;

2。当C0/C1在进入ODR2之前被反转(使用BuFiO2或PLL/DCM):

TimeGRP“DATAOUT1”偏移=在CLK1时间GRP“CK11C0GRP”之后的8 ns;
TimeGRP“DATAOUT1”偏移=在CLK1时间GRP“CK11C1YGRP”之后的13 ns;

为什么只有一个时钟引脚产生DDR对齐= C0/C1时的偏移时间路径?

解决方案

这是预期的行为。

当DDRGixIdult= C0时,输出路径仅由C0捕获,并且当dDrl对齐= C1时,输出路径仅由C1。

当选项设置为“否”时,ODR内部配置如下:
oddr2_none1.png
您可以看到输入数据(D0&D1)必须是异相的,并与相应的时钟对齐。

用C0捕获D0,D1用C1、Y作为互补信号捕获。

因此,C0和C1都与偏移输出时序路径相关联。

对于C0/C1情况,当输入数据只与2个时钟中的一个对齐时,C0或C1,
oddr2_c0.png
D0和D1都被捕获在ODR2中(C0)(图)。

将输出数据与DDR值对齐的内部延迟FF中断D1->Q路径。

因此,在该配置中仅存在一个有效的偏移输出路径,这是由C0时钟锁定的D0和GT。

另一种方法是,当选择C1时。

有关ODR2配置的更多信息,请参阅UG38。
请登录后发表评论

    没有回复内容