当我对矢量文件(.vec)进行更改并重新仿真我的设计时,为什么在仿真器中会出现意外结果? (MAX +PLUS®II仿真器)-Altera-Intel论坛-FPGA CPLD-ChipDebug