为什么我看到消息“avalon_master.writedata和avl.avl_wdata之间的连接必须是带有UniPHY的DDR2(3)SDRAM控制器的宽度[8,16,32,64,128,256,512,1024]?-Altera-Intel论坛-FPGA CPLD-ChipDebug