错误:xcvr:set_port_property为端口unused_tx_parallel_data设置非法FRAGMENT_LIST-Altera-Intel论坛-FPGA CPLD-ChipDebug