首页
交流分享
积分规则
创作分成
隐私政策
免责声明
电子工程师在线工具
New
发布
开通会员
开通黄金会员
全站资源约8折购买
部分内容免费阅读
VIP用户专属版块
开通黄金会员
开通钻石会员
全站资源约6折购买
部分内容免费阅读
VIP用户专属版块
一对一技术指导
开通钻石会员
开通会员 尊享会员权益
登录
注册
找回密码
首页
交流分享
积分规则
创作分成
隐私政策
免责声明
电子工程师在线工具
New
开通会员 尊享会员权益
登录
注册
找回密码
分享
FPGA CPLD
Xilinx-AMD
帖子 1.1W+
互动 430
关注 124
赛灵思是FPGA、可编程SoC及ACAP的发明者, 其高度灵活的可编程芯片由一系列先进的软件和工具提供支持,可推动跨行业和多种技术的快速创新- 从消费电子类到汽车类再到云端。
超级版主
发布
全部
最新发布
最新回复
热门
精华
xilinx_wiki
2013.2 Vivado – 未正确绘制器件视图 – 显示空白区域
xilinx_wiki
7年前发布
42
0
0
xilinx_wiki
AXI互连 – 为什么AXI互连的IP目录(1.7)和IP集成器(2.0+)之间存在版本差异?
xilinx_wiki
7年前发布
81
0
0
xilinx_wiki
PetaLinux 2014.2 – 器件树生成器不会为所有IP生成器件节点
xilinx_wiki
7年前发布
12
0
0
xilinx_wiki
PetaLinux 2014.2 – 当M_AXI_DC和M_AXI_DP在相同的AXI互连上时生成重复的器件树节点
xilinx_wiki
7年前发布
26
0
0
xilinx_wiki
PetaLinux 2014.2 – 写回模式下的MicroBlaze D-Cache导致FS-BOOT应用程序无输出
xilinx_wiki
7年前发布
9
0
0
xilinx_wiki
PetaLinux 2014.2 – 如果已经存在HDF文件,则petalinux-config不会读取Vivado导出的XML
xilinx_wiki
7年前发布
98
0
0
xilinx_wiki
PetaLinux 2014.2 – 导入新硬件定义时,FSBL组件不会更新PS7_INIT.C和PS7_INIT.H
xilinx_wiki
7年前发布
14
0
0
xilinx_wiki
Vivado – 从Tcl AppStore卸载ProjUtils(Project Utilities)会中断File – > Write Project Tcl命令
xilinx_wiki
7年前发布
24
0
0
xilinx_wiki
MIG 7系列 – 引脚兼容功能不适用于Artix汽车零件
xilinx_wiki
7年前发布
18
0
0
xilinx_wiki
Vivado综合 – 在标有ASYNC_REG的寄存器之间插入逻辑
xilinx_wiki
7年前发布
17
0
0
xilinx_wiki
Vivado综合 – 综合报告中的“Block RAM”表并未反映设计中使用的所有BRAM
xilinx_wiki
7年前发布
38
0
0
xilinx_wiki
当具有结构类型的SystemVerilog文件实例化具有记录类型端口的VHDL时,给出了Vivado综合 – “错误:[Synth 8-26]”
1
xilinx_wiki
7年前发布
26
0
0
xilinx_wiki
Vivado IP Integrator:扩展和连接时AXI网桥的地址映射不可见?
xilinx_wiki
7年前发布
11
0
0
xilinx_wiki
FIFO Generator v12.0 – 在ModelSim Standalone中仿真核心的正确流程是什么?
xilinx_wiki
7年前发布
96
0
0
xilinx_wiki
PetaLinux 2014.2 – 使用UART0代替UART1时,Linux内核无法启动使用earlyprintk
2
xilinx_wiki
7年前发布
13
0
0
xilinx_wiki
LogiCORE Video Scaler v8.1(Rev.3) – 为什么m_axis_tvalid输出总是很低?
xilinx_wiki
7年前发布
14
0
0
xilinx_wiki
Vivado Synthesis – 当项目路径包含特殊字符(例如'&')时,Vivado Synthesis挂起/停止而不返回
xilinx_wiki
7年前发布
15
0
0
xilinx_wiki
2014.2 Vivado IP版本说明 – 所有IP更改日志信息
xilinx_wiki
7年前发布
26
0
0
xilinx_wiki
JESD204B – v5.1 – JESD204中的定时故障示例设计在6.5 GHz以上的-1器件上运行
xilinx_wiki
7年前发布
15
0
0
xilinx_wiki
MIG 7系列 – 如何约束sys_rst
1
xilinx_wiki
7年前发布
59
0
0
xilinx_wiki
14.7 PlanAhead – [EDIF 20-86]在单元格'<>'的实例'<>'上找不到端口'<>'
xilinx_wiki
7年前发布
75
0
0
xilinx_wiki
14.7 – 警告:PACK:2900 – 寄存器'reg_name'具有针对IO组件的LOC约束'X',但未能加入
xilinx_wiki
7年前发布
10
0
0
xilinx_wiki
PetaLinux – 解压缩内核映像…错误:inflate()在引导期间返回-5消息
xilinx_wiki
7年前发布
62
0
0
xilinx_wiki
Zynq-7000 SoC,PS DDRC – 什么是ECC擦洗?
xilinx_wiki
7年前发布
18
0
0
xilinx_wiki
2014.3 IES / IUS仿真与Vivado GUI集成的目标
xilinx_wiki
7年前发布
8
0
0
xilinx_wiki
我在哪里可以找到XAPP247,XAPP577或XAPP680?
xilinx_wiki
7年前发布
53
0
0
xilinx_wiki
DDS编译器v6.0 – 需要在pg141中指定的全范围幅度模式的详细说明
xilinx_wiki
7年前发布
18
0
0
xilinx_wiki
2014.2 – Vivado IPI – [Netlist 29-180]单元'IBUFDS_GTE2'不是Kintexu部分支持的原语:xcku040-ffva1156-2
xilinx_wiki
7年前发布
52
0
0
xilinx_wiki
Aurora 64B66B v9.2Rev1 – 为PMA_RSV属性设置的值不正确
xilinx_wiki
7年前发布
26
0
0
xilinx_wiki
U-boot – 命令“saveenv”可能会破坏引导映像。
xilinx_wiki
7年前发布
16
0
0
上一页
1
…
100
101
102
103
104
…
368
下一页
跳转
124人已关注
分享
Xilinx-AMD
赛灵思是FPGA、可编程SoC及ACAP的发明者, 其高度灵活的可编程芯片由一系列先进的软件和工具提供支持,可推动跨行业和多种技术的快速创新- 从消费电子类到汽车类再到云端。
发布
关注
帖子
1.1W+
互动
430
阅读
25.3W+
搜索
开启精彩搜索
最新的帖子
在手机上浏览此页面
登录
没有账号?立即注册
邮箱
验证码
发送验证码
记住登录
账号密码登录
登录
用户名/手机号/邮箱
登录密码
记住登录
找回密码
|
免密登录
登录
注册
已有账号,立即登录
设置用户名
手机号或邮箱
验证码
发送验证码
设置密码
重复密码
注册
注册即表示同意
用户协议
、
隐私声明
欢迎光临!
ChipDebug入站需知
本站无广告也无付费项目,如发现帐号发垃圾帖或评论的一律封号!
ChipDebug是一个芯片开发调试分享网站
欢迎您的到来!如果您没有帐户请先点击下方按钮进行
注册
登录
注册
找回密码
积分规则